第十四届 蓝桥杯 EDA设计与开发项目 国赛 真题 - 嘉立创EDA开源硬件平台

编辑器版本 ×
标准版 Standard

1、简单易用,可快速上手

2、流畅支持300个器件或1000个焊盘以下的设计规模

3、支持简单的电路仿真

4、面向学生、老师、创客

专业版 professional

1、全新的交互和界面

2、流畅支持超过3w器件或10w焊盘的设计规模,支持面板和外壳设计

3、更严谨的设计约束,更规范的流程

4、面向企业、更专业的用户

专业版 第十四届 蓝桥杯 EDA设计与开发项目 国赛 真题

简介:第十四届蓝桥杯EDA赛官方国赛真题,可供训练学习。

开源协议: GPL 3.0

(未经作者授权,禁止转载)

创建时间: 2023-06-13 12:00:25
更新时间: 2024-04-14 07:51:21
描述

 

一、试题详情

 

 

二、绘制流程

    根据第十四届蓝桥杯EDA设计与开发项目国赛题目,按照试题要求完成DPV器件符号和封装的绘制与绑定,导入数据包完成原理图电路绘制与印制线路板设计。

图2-1 绘制DPV器件符号

图2-2 绘制DPV器件封装

图2-3 导入数据包完成给定区域中原理图电路绘制

图2-4 PCB印制电路板设计

图2-5 第十四届蓝桥杯EDA设计与开发项目国赛实物图

三、培训视频

第十五届蓝桥杯大赛EDA设计与开发竞赛-嘉立创EDA培训教学视频
📕课程名称 🔗视频链接
1-2分钟介绍赛事规则! https://www.bilibili.com/video/BV1yp4y1o77o/
2-下载嘉立创EDA https://www.bilibili.com/video/BV1N5411q7ut/
3-设计符号与封装库 https://www.bilibili.com/video/BV1jw411u7ib/
4-符号设计 https://www.bilibili.com/video/BV12e411H7eh/
5-原理图设计 https://www.bilibili.com/video/BV1Rt4y1R7YA/
6-PCB布局技巧 https://www.bilibili.com/video/BV1Ja4y127q5/
7-PCB布线技巧 https://www.bilibili.com/video/BV1w94y1M7pb/
8-常用模块PCB布局注意事项 https://www.bilibili.com/video/BV1uc41147TQ/

四、附件说明

    提供“第十四届 蓝桥杯 EDA设计与开发项目 国赛”真题和试题所需的资源数据包。

设计图
原理图
1 /
PCB
1 /
未生成预览图,请在编辑器重新保存一次
工程视频/附件
序号 文件名称 下载次数
1

LIB.zip

538
2

Project.zip

488
3

14F_EDA设计试题.pdf

550
4

14F_EDA客观试题.pdf

351
侵权投诉
相关工程
换一批
加载中...
添加到专辑 ×

加载中...

温馨提示 ×

是否需要添加此工程到专辑?

温馨提示
动态内容涉嫌违规
内容:
  • 153 6159 2675

服务时间

周一至周五 9:00~18:00
  • 技术支持

support
  • 开源平台公众号

MP