基于CH32V307的智能电子负载开源,嵌入式大赛作品开源 - 嘉立创EDA开源硬件平台

编辑器版本 ×
标准版 Standard

1、简单易用,可快速上手

2、流畅支持300个器件或1000个焊盘以下的设计规模

3、支持简单的电路仿真

4、面向学生、老师、创客

专业版 professional

1、全新的交互和界面

2、流畅支持超过3w器件或10w焊盘的设计规模,支持面板和外壳设计

3、更严谨的设计约束,更规范的流程

4、面向企业、更专业的用户

专业版 基于CH32V307的智能电子负载开源,嵌入式大赛作品开源

简介:基于CH32V307VCT6的智能电子负载开源,嵌入式大赛作品开源,含原理图、PCB、程序源码、作品报告。 2023年嵌入式芯片与系统设计竞赛应用赛道,国二作品。

开源协议: CC BY-NC-SA 4.0

(未经作者授权,禁止转载)

创建时间: 2023-06-30 18:08:22
更新时间: 2024-05-07 17:59:53
描述
**基于CH32V307VCT6的智能电子负载开源,嵌入式大赛作品开源,含原理图、PCB、程序源码、作品报告。** 2023年嵌入式芯片与系统设计竞赛应用赛道,国二作品。 用半个月时间在之前做的[合泰版的电子负载](https://blog.zeruns.tech/archives/784.html)的基础上改的,移植了程序到CH32单片机,并使用了RT-Thread系统,优化了一下代码,赶工做出来,**做得很一般,勿喷**。 作品演示视频:[https://www.bilibili.com/video/BV1Zu4y1m7Zd/](https://www.bilibili.com/video/BV1Zu4y1m7Zd/) 基于HT32F52352的智能电子负载开源,合泰杯作品开源:[https://blog.zeruns.tech/archives/784.html](https://blog.zeruns.tech/archives/784.html) 本开源作品仅供参考学习,不建议复刻,立创开源平台上有更多更好的更完善的电子负载开源作品! 电子/单片机技术交流群:[820537762](https://qm.qq.com/q/ZmTfBbFM4Y) 沁恒官网可以免费申请开发板样品:[https://url.zeruns.tech/h9a99](https://url.zeruns.tech/h9a99) ## 什么是电子负载 电子负载是一种电子设备,用于模拟真实负载环境,以测试电源或电子电路的性能。比起使用大功率可调电阻或者电炉丝等传统的无源负载,电子负载具有参数可调,使用方便等诸多优势。无论是专业的电子工程项目开发还是业余的电子爱好者,电子负载仪都是必备的设备之一。 电子负载从测试电源的种类来分可以分为交流电子负载和直流电子负载。从功能上划分常见的有恒流、恒压、恒阻、恒功率四种类型。因为我们常见的大多数电源都是恒压直流电源。测试这类电源时,主要测试的是其电流输出能力。所以大多数的应用场景中,直流恒流电子负载是最为常见的类型。而电子负载从控制方式上划分则又可以分为数控和模拟两种类型。相较于使用纯模拟电路控制的电子负载,数控电子负载使用数字控制,在参数调节上更为直观,而且功能丰富、扩充简单,还可以方便的实现测试的自动化。 ## 项目简介 本作品采用 CH32V307VCT6 沁恒单片机作为主控芯片设计的电子负载,供电方式由18650锂电池供电,方便携带。 控制方式为通过单片机DAC输出一个直流电压作为参考电压给到运放与电流/电压采样放大后的电压做比较,运放输出控制MOS管,从而实现恒压/恒流。 触控屏用的是陶晶驰的2.8寸串口屏,型号为:TJC3224T028_011R。 散热器用的是2U服务器的1356/1366针散热器,侧吹的。 项目程序使用RT-Thread Studio开发。电路设计用的立创EDA软件。 最大输入电压电流为100V/10A,最大功率200W。 ## 实物图 当时没拍多少照片,就找到这几张了,可以去看看演示视频。 ![IMG_5766.jpg](//image.lceda.cn/pullimage/t5B4DrJP4z8sFlfaLjsR1OAsDfGq2AgMsicqGJbM.jpeg) ![IMG_20230711_113826.jpg](//image.lceda.cn/pullimage/RfcczKWrqkoFZUd8RVwd7O76vg5EfMFHpzOv0Obz.jpeg) ![IMG_20230713_230136.jpg](//image.lceda.cn/pullimage/1fz2al8nk3yNBhnWXpFvdGV4SKZX1evi77vdj3PH.jpeg) ![IMG_20230716_222458.jpg](//image.lceda.cn/pullimage/mZ6Vvm7ehc2Z9TBsxCVgVd2dZOVsFkLMrRKrYWea.jpeg) ![image.png](//image.lceda.cn/pullimage/QlypFWm3YKrI8tEPlk7ZqrVUTxY49oOJEhxngUVP.png) ## 资料下载地址 下面链接中的资料有:电路原理图、立创EDA工程文件、PCB制板文件、程序源码、串口屏工程文件、芯片手册。 123云盘不限速下载地址:[https://www.123pan.com/ps/2Y9Djv-6NevH.html](https://www.123pan.com/ps/2Y9Djv-6NevH.html) 百度网盘下载地址:[https://pan.baidu.com/s/17YSlBZ6F1M18k7JGa7FlVA?pwd=buxx](https://pan.baidu.com/s/17YSlBZ6F1M18k7JGa7FlVA?pwd=buxx) 提取码:buxx ## 元件购买地址 - CH32V307VCT6芯片:[https://s.click.taobao.com/T8MSZot](https://s.click.taobao.com/t?e=m%3D2%26s%3DgyOecb%2FkVodw4vFB6t2Z2ueEDrYVVa64YUrQeSeIhnK53hKxp7mNFrMfIvbtZ%2F%2B2XoSPv2UCI7j0JlhLk0Jl4QTquP0kWxBLBDnvz6xo38xspWc9%2BCL4bTGF1ceZMhPo8mL8HhJ3EdVrH4ks4QyiY4z4rjZDGVMALUk2675Bc2WwykAo5oj2AqLWMw3EOEsyJN2owMjhufwDudUsQ2T%2Bdp%2FdntU0wIP9U0%2F%2BQz8Rn4vub2f1wHCG0RpV6BTbQsc2pre0oDJfD91TT0keLUOJzU%2FuprW1TdmBLeMqtJBmsqCj2MrpfvkVAqmb4XQsbxqtcSpj5qSCmbA%3D&union_lens=lensId%3APUB%401715069188%402106d2ff_0d3a_18f5216faed_7b26%40023RxqHynf6t5RwFyvTFnlS7%40eyJmbG9vcklkIjo4MDY3NCwiic3BtQiiI6Il9wb3J0YWxfdjJfcGFnZXNfcHJvbW9fZ29vZHNfaW5kZXhfaHRtIiiwiic3JjRmxvb3JJZCI6IjgwNjc0In0ie%3Bscm%3A1007.30148.329090.pub_search-item_84af93c4-e912-408e-88f8-952d6de4b10a_) - CH32V307VCT6开发板:[https://s.click.taobao.com/2JBSZot](https://uland.taobao.com/coupon/edetail?e=SmZWZ%2F3BZLWlhHvvyUNXZfh8CuWt5YH5OVuOuRD5gLJMmdsrkidbOUV9IBA4kmjLzl4C0eeCD%2BJjfQA1M5LUkPLG%2Bg4QgvvMWDQULCyObDxqbKt6zp5b7%2FyFmnRI5li0golEqO3se4X0TcIixZTmmAcY88rbnPan2cFY6qAkBQtBJFJ%2BvjUN8tciKmkDQwAJAb%2FwPoQdZUDzg9ez7b%2B0xBhUJ43tO793e5eUWxw8HOJ1CBE3ORk28hi5F5dfJNphbzzQgRQp8ocl3ve4XYdrnBmfJXFEhLBmz16EqhVLTixh4UaZgpuZs3hyHO%2FKhF5gUOKT1d%2FM3E0Zao0HO%2FvX0w%3D%3D&traceId=2150b67917150693895222252e6526&union_lens=lensId%3APUB%401715069188%402106d2ff_0d3a_18f5216faed_7b2c%40025tCh5X18arQP7Ul5Dkrkw5%40eyJmbG9vcklkIjo4MDY3NCwiic3BtQiiI6Il9wb3J0YWxfdjJfcGFnZXNfcHJvbW9fZ29vZHNfaW5kZXhfaHRtIiiwiic3JjRmxvb3JJZCI6IjgwNjc0In0ie%3Bscm%3A1007.30148.329090.pub_search-item_84af93c4-e912-408e-88f8-952d6de4b10a_) - INA199A1芯片:[https://s.click.taobao.com/XLuweot](https://s.click.taobao.com/t?e=m%3D2%26s%3DVBp6%2BaDRerBw4vFB6t2Z2ueEDrYVVa64g3vZOarmkFi53hKxp7mNFrMfIvbtZ%2F%2B2IOP9cleEfvD0JlhLk0Jl4QTquP0kWxBLBDnvz6xo38xspWc9%2BCL4bTGF1ceZMhPo8mL8HhJ3EdVrH4ks4QyiY4z4rjZDGVMAhscfsB2%2FyzZJq71CBMBeP%2F1SarTXhIOTsgIpc1WFZiJNubylQlnZtzkoPdc7tcePIDkG9eDp9eFkkUFTNCzb46A3JjOzQQlqxiAYVPwsoUdOQfWadLKkRowmLWBgEm80VKxcI130SjETn2JhMaQNtYWLhXkoGmYy0mVveyatPBRsfq9mJnhr%2F8YOae24fhW0&union_lens=lensId%3APUB%401715006363%40213d6b23_0d1a_18f4e58559f_b71b%40021cF3VxhtLnAU85tlIg13aR%40eyJmbG9vcklkIjo4MDY3NCwiic3BtQiiI6Il9wb3J0YWxfdjJfcGFnZXNfcHJvbW9fZ29vZHNfaW5kZXhfaHRtIiiwiic3JjRmxvb3JJZCI6IjgwNjc0In0ie%3Bscm%3A1007.30148.329090.pub_search-item_a8dea4c6-0246-49b0-9f81-54175fa8c301_) - 0805贴片电阻样品本:[https://s.click.taobao.com/p8YSGpt](https://s.click.taobao.com/t?e=m%3D2%26s%3DcdNyLRmqOmtw4vFB6t2Z2ueEDrYVVa64g3vZOarmkFi53hKxp7mNFrMfIvbtZ%2F%2B2qeq3uhGjb7X0JlhLk0Jl4QTquP0kWxBLBDnvz6xo38xspWc9%2BCL4bTGF1ceZMhPo8mL8HhJ3EdVrH4ks4QyiY4z4rjZDGVMAmCODajZzqkiGm1Ouoto%2FLv1SarTXhIOTUdDn0Cj7EhO6WKFwGN1ixjXyPi7eB%2BSjm6h3y6Ai2rEbyemgzJTNqG%2BJQHRls%2FdafRLXnozsSekXRTLhPSRKfHB6Jd9pUfrR1KilmKsn0wzxtjjUZggHC0zzd5GJkw0Xxg5p7bh%2BFbQ%3D&union_lens=lensId%3APUB%401715006466%40212c6e2a_0d52_18f4e59e8f0_0aa9%40023u47BlGjoPKb4k5mnqkG31%40eyJmbG9vcklkIjo4MDY3NCwiic3BtQiiI6Il9wb3J0YWxfdjJfcGFnZXNfcHJvbW9fZ29vZHNfaW5kZXhfaHRtIiiwiic3JjRmxvb3JJZCI6IjgwNjc0In0ie%3Bscm%3A1007.30148.329090.pub_search-item_87062762-9eec-4eae-aba4-c864b29d17b2_) - 0805贴片电容样品本:[https://u.jd.com/9uvZoBd](https://union-click.jd.com/jdc?e=618%7Cpc%7C&p=JF8BAQsJK1olXQ8HU15UC0seAl8MGFgSVQYDUVpdCntTXDdWRGtMGENDFlVDFhNSVzMXQA4KD1heSlpeC0wfA24NH1sXQl9HCANtdgx3fxpVTVx3CQVcEBYqSwthBTFXe1cZbQcyVF9cCUoQBGkKE2slXQEyFTBdCUseBmwAHWsUbQYEVFpcCUwTB24JHF0lWgYLZFxdC0gSBG0BHkccWQcBV1dtOHsUM2gIEk8TL0dQQFgvOHsXM2w4G1oVVA8CVFZVD00LA2sMG1ITQQYEVFpcCUwTAGcLGlolXwcDUFdtOHtJVQ57bVpNOl0DCw4tcDNPARR-eA5LG3RsVh0YWjZjVyl3QFMdHmJdKiBVOHs) - XL1509芯片:[https://s.click.taobao.com/DOcRZot](https://s.click.taobao.com/t?e=m%3D2%26s%3D7NgIWsrzKY5w4vFB6t2Z2ueEDrYVVa64g3vZOarmkFi53hKxp7mNFrMfIvbtZ%2F%2B2Y%2BJf%2BJNAdvr0JlhLk0Jl4QTquP0kWxBLBDnvz6xo38xspWc9%2BCL4bTGF1ceZMhPo8mL8HhJ3EdVrH4ks4QyiY4z4rjZDGVMAhscfsB2%2FyzZJq71CBMBeP%2F1SarTXhIOTsgIpc1WFZiJNubylQlnZt1cs2RppAUnsiSjnYR9vQ8SeiBbkoJFB%2FCvl9oVyqD7DTC8tJN21vco7O2JTtTEJoonBJdidRTXhkZn3A9FxdX4F%2B6qWNoTfGk3O4wtQ3K907OsMxnpx%2Fgg%2Ff6qc4AmKRyGFCzYOOqAQ&union_lens=lensId%3APUB%401715069447%402135e809_0ce5_18f521aed2f_585e%40025PsihjxMB8BRlUmf4AfPe4%40eyJmbG9vcklkIjo4MDY3NCwiic3BtQiiI6Il9wb3J0YWxfdjJfcGFnZXNfcHJvbW9fZ29vZHNfaW5kZXhfaHRtIiiwiic3JjRmxvb3JJZCI6IjgwNjc0In0ie%3Bscm%3A1007.30148.329090.pub_search-item_936c790e-f723-411b-af4f-8885362e885b_) - 串口屏:[https://s.click.taobao.com/pyzleot](https://s.click.taobao.com/t?e=m%3D2%26s%3DT0trOoJUPlhw4vFB6t2Z2ueEDrYVVa64g3vZOarmkFi53hKxp7mNFrMfIvbtZ%2F%2B2u93GcCxBRmT0JlhLk0Jl4QTquP0kWxBLBDnvz6xo38xspWc9%2BCL4bTGF1ceZMhPo8mL8HhJ3EdVrH4ks4QyiY4z4rjZDGVMAJXFvqHN0PZ856ZoUzm0cdngJMd2yjs373q5%2F6sUdbJKgElUwZQmmDlRwiR4IUtq3F8wwnZGAQmQBUO8U8NpjAXcJu31WP%2FM657MNjNC1IfSjO9AJYjY8CXJ%2BwEVkOqHFdQeHL9TMTi0OFbiVp%2BG0x2V63aNQkIr1&union_lens=lensId%3APUB%401715008004%400b5218d5_0d04_18f4e71615d_2bbb%4002knPyHKBlaBVywEJyLYBQV%40eyJmbG9vcklkIjo4MDY3NCwiic3BtQiiI6Il9wb3J0YWxfdjJfcGFnZXNfcHJvbW9fZ29vZHNfaW5kZXhfaHRtIiiwiic3JjRmxvb3JJZCI6IjgwNjc0In0ie%3Bscm%3A1007.30148.329090.pub_search-item_0d1cbfb2-be09-4545-991b-bf2cefc75c77_) 建议在[立创商城](https://activity.szlcsc.com/invite/D03E5B9CEAAE70A4.html)里购买元器件:[https://activity.szlcsc.com/invite/D03E5B9CEAAE70A4.html](https://activity.szlcsc.com/invite/D03E5B9CEAAE70A4.html) ## 其他开源项目推荐 - 做了个三相电量采集器开源出来,可以方便监测家里用电情况:[https://blog.zeruns.tech/archives/771.html](https://blog.zeruns.tech/archives/771.html) - 移植好U8g2图形库的STM32F407标准库工程模板:[https://blog.zeruns.tech/archives/722.html](https://blog.zeruns.tech/archives/722.html) - 沁恒CH32V307VCT6最小系统板开源:[https://blog.zeruns.tech/archives/726.html](https://blog.zeruns.tech/archives/726.html) - LM25118自动升降压可调DCDC电源模块:[https://blog.zeruns.tech/archives/727.html](https://blog.zeruns.tech/archives/727.html) - EG1164大功率同步整流升压模块开源,最高效率97%:[https://blog.zeruns.tech/archives/730.html](https://blog.zeruns.tech/archives/730.html) - 基于合宙Air700E的4G环境监测节点(温湿度、气压等数据),通过MQTT上传阿里云物联网平台:[https://blog.zeruns.tech/archives/747.html](https://blog.zeruns.tech/archives/747.html)
设计图
原理图
1 /
PCB
1 /
未生成预览图,请在编辑器重新保存一次
工程视频/附件
暂无
工程成员
侵权投诉
相关工程
换一批
加载中...
添加到专辑 ×

加载中...

温馨提示 ×

是否需要添加此工程到专辑?

温馨提示
动态内容涉嫌违规
内容:
  • 153 6159 2675

服务时间

周一至周五 9:00~18:00
  • 技术支持

support
  • 开源平台公众号

MP